基于ModelsimFLI接口的FPGA混合仿真

2013-11-01 15:20 来源:电子信息网 作者:洛小辰
例如,假定有一个DLL文件名为sim.dll,对应的初始化函数为sim_init,有输入信号in1,in2,输出信号out1,out2,可以这样编写对应的VHDL文件(sim.vhd):


libraryieee;

useieee.std_logic_1164.all;

entitysimis

port(

in1:instd_logic;

in2:instd_logic;

out1:outstd_logic;

out2:outstd_logic;

);

endentitysim;

architecturedllofsimis

attributeforeign:string;

attributeforeignofdll:architectureissim_initsim.dll”

begin

end;

仿真时,仿真器对顶层的HDL文件进行仿真,并根据各VHDL文件的动态链接库声明来调用、执行相应的动态链接库。

3.2动态链接库的程序结构

modelsim在仿真时,根据VHDL文件的声明,调用DLL文件(如sim.dll)。在VHDL文件中已经给出了调用文件(sim.dll)和初始化函数名(如sim_init),modelsim根据这些信息,调用sim.dll中的sim_init函数,完成初始化工作。初始化包括:

1.初始化全局变量;

2.设置VHDL输入输出信号与C程序变量的对应关系;

3.设置输出信号的一些初始状态(mti_ScheduleDriver);

4.设置在仿真器重新仿真(restart)和仿真器退出仿真(quit)等情况下执行的一些函数(mti_AddRestartCB和mti_AddQuitCB等),如释放动态申请的内存等等;

5.设置敏感表,给出在某些信号发生某些变化(如时钟上升沿等)时执行的函数。

6.等等。

下面结合3.1的例子(sim.vhd),给出C程序的设计步骤。

1.包含头文件,包括C程序常用的一些头文件和Modelsim给出的外部语言接口头文件mti.h。Modelsim给出的外部接口函数说明、类型定义等都在mti.h中。

2.定义自己的结构体,这一点主要是为了编程方便,例如输入输出信号对应的变量在各函数中基本上都会用到,可以把这些变量定义成一个结构,便于参数传递。例如,我们可以把3.1的sim.vhd输入输出信号对应的变量定义成结构:

typedefstruct{

driverIDout1;

driverIDout2;

signalIDin1;

signalIDin2;

}PortStruct;

其中driverID表示输出信号对应的变量;signalID表示输入信号对应的变量。这样,这里定义的变量out1,out2,in1,in2就分别与sim.vhd中的信号out1,out2,in1,in2对应。

3.3编写初始化函数

初始化函数的定义为:

init_func(mtiRegionIdTregion,char*param,mtiInteRFaceListT*generics,mtiInterfaceListT*ports)

各参数的含义可以参阅modelsim的用户手册。

下面结合上面给出的初始化函数要完成的任务来详细说明。

a.初始化全局变量(略)

b.设置VHDL输入输出信号与C程序变量的对应关系。这是通过调用mti_FindPort函数实现的。mti_FindPort函数定义为:

mtiSignalIdTmti_FindPort(mtiInterfaceListT*list,char*name);

例如,定义输入输出信号对应的结构为ip:PortStructip;

就可以用:ip.in1=mti_FindPort(ports,“in1”);来实现输入信号in1与变量in1的对应关系。

对输出信号来说,它的目的是产生驱动,因此,这些变量(out1和out2)除了要找到对应的输出信号外,还要驱动这些信号。对信号的驱动可以通过调用mti_CreateDriver函数来实现。该函数的定义为:mtiDriverIdTmti_CreateDriver(mtiSignalIdTsig);

由于这些变量一般只用于对外驱动,因此可以简单写成下面的形式:

ip.out1=mti_CreateDriver(mti_FindPort(ports,“out1”));

c.调用mti_ScheduleDriver函数,设置输出信号的初始状态。mti_ScheduleDriver函数的定义为:voidmti_ScheduleDriver tiDriverIdTdriver,longvalue,mtiDelayTdelay,mtiDriverModeTmode);

其中driver是输出信号对应的变量名,如我们这里的ip.out1和ip.out2;value是要设置(驱动)的值,如高电平(‘1’,对应value为3)、低电平(‘0’,对应value为2)、高阻(‘Z’,对应value为4)、未赋值(‘U’,对应value为0)等等;delay是从当前时间开始到把信号驱动成给定值(value)的等待时间,单位与仿真器当前使用的最小时间单位相同;mode为信号模式,有两个值可供3选择:MTI_INERTIAL或者是MTI_TRANSPORT,分别对应于标准VHDL语言的INERTIAL和TRANSPORT。例如,我们设置信号out1的初始状态为低电平:mti_ScheduleDriver(ip.out1,2,0,MTI_INERTIAL);

d.设置在仿真器重新仿真(运行命令restart)或退出仿真(运行命令quit–sim)等情况下调用的函数。这一部分主要是为了释放内存或者保存当前状态等。以restart为例,假设我们在程序中用malloc申请了存储空间buf,在仿真器“restart”时需要释放,就可以用以下的函数调用来注册:mti_AddRestartCB(free,buf);

在注册后,当仿真器运行命令restart时就会调用free(buf)。

其他一些函数可以参照Modelsim的用户手册,这里不再详述。

e.设置敏感表,给出在某些信号发生某些变化时(如时钟上升沿等)执行的函数。例如,在输入信号in1发生变化时,要执行函数in1_change(in1_change为用户定义好的函数),可以这样定义:

processIDproc;

proc=mti_CreateProcess(P_in1change,in1_change,&ip);

mti_Sensitize(proc,ip.in1,MTI_EVENT);

也就是说,先创建进程,然后设置敏感表,当满足敏感表的条件时,仿真器就会执行该进程。

mti_CreateProcess函数的定义为:mtiProcessIdTmti_CreateProcess(char*name,mtiVoidFuncPtrTfunc,void*param);

name是在仿真器窗中显示的名称;func是执行的函数;后面的param是传给func的参数。mti_Sensitize的定义:

voidmti_Sensitize ProcessIdTproc,mtiSignalIdTsig,mtiProcessTriggerTwhen);

其中proc为调用mti_CreateProcess的返回值;sig为信号名,即VHDL文件的输入输出信号对应于C程序的变量;when可以取MTI_EVENT或者MTI_ACTIVE两种值。

3.4C程序的编译

对Windows平台,采用的编译器是MicrosoftVisualC++,并用如下的命令行进行编译:

cl-c-I\modeltech\includeapp.c

link-dll-export:app.obj\modeltech\win32\mtipli.lib

上面的是modelsim的安装目录,是C程序的初始化函数名,如我们给出的sim.c中的sim_init。编译之后就可以生成.dll文件。

最后,仿真向量是用C语言还是用HDL直接产生,要视设计者的应用而定,选取最简单的方式。在大多数情况下,用C语言和HDL联合生成测试向量会更方便些。

< 1 2 
FPGA 仿真

相关阅读

暂无数据

一周热门